雨果巴拉:行业北极星Vision Pro过度设计不适合市场

Efficient, arbitrarily high precision hardware logarithmic arithmetic for linear algebra

Note: We don't have the ability to review paper

PubDate: June, 2020

Teams: Facebook AI Research

Writers: Jeff Johnson

PDF: Efficient, arbitrarily high precision hardware logarithmic arithmetic for linear algebra

Abstract

The logarithmic number system (LNS) is arguably not broadly used due to exponential circuit overheads for summation tables relative to arithmetic precision. Methods to reduce this overhead have been proposed, yet still yield designs with high chip area and power requirements. Use remains limited to lower precision or high multiply/add ratio cases, while much of linear algebra (near 1:1 multiply/add ratio) does not qualify.

We present a dual-base approximate logarithmic arithmetic comparable to floating point in use, yet unlike LNS it is easily fully pipelined, extendable to arbitrary precision with O(n2) overhead, and energy efficient at a 1:1 multiply/add ratio. Compared to float32 or float64 vector inner product with FMA, our design is respectively 2.3× and 4.6× more energy efficient in 7 nm CMOS. It depends on exp and log evaluation 5.4× and 3.2× more energy efficient, at 0.23× and 0.37× the chip area for equivalent accuracy versus standard hyperbolic CORDIC using shift-and-add and approximated ODE integration in the style of Revol and Yakoubsohn. This technique is a novel alternative for low power, high precision hardened linear algebra in computer vision, graphics and machine learning applications.

您可能还喜欢...

Paper